Búsqueda de Publicación - Prisma - Unidad de Bibliometría

Publicaciones en la fuente IEEE International Symposium on Circuits and Systems

Tipo Año Título Fuente
Ponencia2019A current attenuator for efficient memristive crossbars read-out2019 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2019Live demonstration: Neuromorphic robotics, from audio to locomotion through spiking CPG on SpiNNaker2019 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2019Live demonstration: Neuromorphic row-by-row multi-convolution FPGA processor-SpiNNaker architecture for dynamic-vision feature extraction2019 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2018Folded Cascode OTA with 5540 MHzpF/mA FoM2018 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2018Live Demonstration: Real-time neuro-inspired sound source localization and tracking architecture applied to a robotic platform2018 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2018On the Optimal Current Followers for Wide-Swing Current-Efficient Amplifiers2018 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Artículo2018Performance Comparison of Time-Step-Driven versus Event-Driven Neural State Update Approaches in SpiNNaker2018 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2017A size-adaptive time-step algorithm for accurate simulation of aging in analog ICs2017 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2017Analysis of coexisting solutions and control of their bifurcations in a parallel LC resonant inverter2017 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2017Live demonstration - Multilayer spiking neural network for audio samples classification using SpiNNaker2017 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2017Multiplexing AER asynchronous channels over LVDS links with flow-control and clock-correction for scalable neuromorphic systems2017 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2016Design of a power-efficient widely-programmable Gm-LC band-pass sigma-delta modulator for SDR2016 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2016Fault attack on FPGA implementations of Trivium stream cipher2016 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2016Live demonstration: Retinal ganglion cell software and FPGA implementation for object detection and tracking2016 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2016Retinal ganglion cell software and FPGA model implementation for object detection and tracking2016 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2015A USB3.0 FPGA event-based filtering and tracking framework for dynamic vision sensors2015 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2015Case Study: Bio-inspired Self-adaptive Strategy for Spike-based PID Controller2015 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2015Class AB two stage and folded cascode OpAmps based on a squaring circuit2015 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2015ConvNets Experiments on SpiNNaker2015 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2015Design Space Exploration Using Hierarchical Composition of Performance Models2015 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2015DPA vulnerability analysis on Trivium stream cipher using an optimized power model2015 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2015Energy efficient transconductor for widely programmable analog circuits and systems2015 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2015Human vs. Computer Slot Car Racing using an Event and Frame-Based DAVIS Vision Sensor2015 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2015Live demonstration: Real-time motor rotation frequency detection by spike-based visual and auditory AER sensory integration for FPGA2015 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2015Overview of Carbon-Based Circuits and Systems2015 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2015Physical vs. surrogate models of passive RF devices2015 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2015Simulation-based Comparison of CNT-FETs and G-FETs from a Circuit Designer's Perspective2015 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2014An AER handshake-less modular infrastructure PCB with x8 2.5Gbps LVDS serial links2014 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2014An Overview of Decimator Structures for Efficient Sigma-Delta Converters: Trends, Design Issues and Practical Solutions2014 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2014CMOS Op-Amps for Biomedical Applications2014 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2014Design considerations of bandpass CT ΣΔ modulators for software-defined-radio receivers2014 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2014Event-driven stereo vision with orientation filters2014 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2013An Empirical and Statistical Comparison of State-of-the-Art Sigma-Delta Modulators2013 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2013An Ultra-Low-Power Voltage-Mode Asynchronous WTA-LTA Circuit2013 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2013Flame monitoring with an AER color vision sensor2013 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2013Improved Contrast Sensitivity DVS and its Application to Event-Driven Stereo Vision2013 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2013Improved Technique for Continuous Tuning of CMOS Transconductor2013 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2013Power and Area Efficient Comb-Based Decimator for Sigma-Delta ADCs with High Decimation Factors2013 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2013Undersampling RF-to-digital CT ΣΔ modulator with tunable notch frequency and simplified raised-cosine FIR feedback DAC2013 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2012A 148dB Focal-Plane Tone-Mapping QCIF ImagerISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems
Ponencia2012A power-scalable concurrent cascade 2-2-2 SC ΣΔ modulator for Software Defined RadioISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems
Ponencia2012A Preamplifier for the Front-End Readout System of Particles Tracking in Secondary Electron DetectorsISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems
Ponencia2012Analysis of Steady-State Common-Mode Response in Differential LC-VCOsISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems
Ponencia2012Behavioral Modeling Techniques for Teaching Communication Circuits and SystemsISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems
Ponencia2012In-Pixel Generation of Gaussian Pyramid Images by Block Reusing in 3D-CMOSISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems
Ponencia2012Live Demonstration: A Bio-inspired Asynchronous Pixel Event Tri-color Vision SensorISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems
Ponencia2012Live demonstration: on the distance estimation of moving targets with a stereo-vision AER systemISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems
Ponencia2012On the distance estimation of moving targets with a Stereo-Vision AER systemISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems
Ponencia2012Power-Efficient Focal-Plane Image Representation for Extraction of Enriched Viola-Jones FeaturesISCAS 2012 - 2012 IEEE International Symposium on Circuits and Systems
Ponencia2011A Power Efficient Neural Spike Recording Channel with Data Bandwidth Reduction2011 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2011Design Considerations and Experimental Results of Continuously-Tuned Reconfigurable CMOS LNAs2011 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2011Voltage Mode Driver for Low Power Transmission of High Speed Serial AER Links2011 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS)
Ponencia2010A Low-Voltage, High Linear Programmable Triode Transconductor2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS
Ponencia2010A Signed Spatial Contrast Event Spike Retina Chip2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS
Ponencia2010An Automated Design Flow from Linguistic Models to Piecewise Polynomial Digital Circuits2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS
Ponencia2010CMOS Operational Amplifiers with Continuous-time Capacitive Common Mode Feedback2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS
Ponencia2010Compact Low-Voltage CMOS Current-Mode Multiplier/Divider2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS
Ponencia2010Live Demonstration: real time objects tracking using a bio-inspired processing cascade architecture2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS
Ponencia2010Low-voltage gm-enhanced CMOS Differential Pairs using Positive Feedback 2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS
Ponencia2010Neuro-inspired system for real-time vision sensor tilt correction2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS
Ponencia2010On Neuromorphic Spiking Architectures for Asynchronous STDP Memristive Systems2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS
Ponencia2010On Scalable Spiking ConvNet Hardware for Cortex-Like Visual Sensory Processing Systems2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS
Ponencia2010On-chip Biased Voltage-Controlled Oscillator with Temperature Compensation of the Oscillation Amplitude for Robust I/Q Generation2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS
Ponencia2010Optimization of clock-gating Structures for low-leakage high-performance Applications2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS
Ponencia2010Pulse-Width Modulation in Sigma-Delta Modulators2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS
Ponencia2010Single phase MOS-NDR MOBILE networks2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS
Ponencia2010Suggestions for a Biologically Inspired Spiking Retina using Order-based Coding2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS
Ponencia2010Tunable Rail-to-Rail FGMOS Transconductor2010 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS
Ponencia2008A CMOS linear tunable transconductor for continuous-time tunable Gm-C filtersPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008A novel contribution to the RTD-based threshold logic familyPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008A simple approach for the implementation of CMOS amplifiers with constant bandwidth independent of gainPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008A-72 dB @ 2 MHz IM3 CMOS tunable pseudo-differential transconductorPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008AER-based robotic closed-loop control systemPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008Backstepping/nonlinear H-infinity control for path tracking of a quadrotor unmanned aerial vehiclePROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008Compact calibration circuit for large neuromorphic arraysPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008Comparison of programmable linear resistors based on quasi-floating gate MOSFETsPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008Control-relevant demand forecasting for management of a production-inventory systemPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008Design of highly linear multipliers using floating gate transistors and/or source degeneration resistorPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008Enhancing data-based fault isolation through nonlinear control: Application to a polyethylene reactorPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008Fully digital AER convolution chip for vision processingPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008High slew rate two stage A/AB and AB/AB op-amps with phase lead compensation at output node and local common mode feed backPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008High-speed character recognition system based on a complex hierarchical AER architecturePROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008Image convolution using a probabilistic mapper on USB-AER boardPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008Limits to a correct operation in RTD-based ternary invertersPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008Linear-enhanced V to I converters based on MOS resistive source degenerationPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008LVDS interface for AER links with burst mode operation capabilityPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008Lyapunov-based model predictive control of particulate processes subject to asynchronous measurementsPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008Min-Max Model Predictive Control of a pilot plantPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008Networked control under synchronization errorsPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008Nonlinear control of the air feed of a fuel cellPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008Nonlinear control of the burgers PDE - Part 1: Full-state stabilizationPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2008Nonlinear control of the Burgers PDE - Part II: Observer design, trajectory generation, and trackingPROCEEDINGS OF 2008 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-10
Ponencia2007A 12-bit@40MS/s Gm-C cascade 3-2 continuous-time sigma-delta modulator2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007A 5 meps $100 USB2.0 address-event monitor-sequencer interface2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007A focal-plane image processor for low power adaptive capture and analysis of the visual stimulus2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007A physical interpretation of the distance tenn in Pelgrom's mismatch model results in very efficient CAD2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007A SIMULINK block set for the high-level simulation of multistandard radio receivers2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007A very linear OTA with V-I conversion based on quasi-floating MOS resistor2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007AER auditory filtering and CPG for robot control2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007An AER contrast retina with on-chip calibration2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007Asymmetric clock driver for improved power and noise performances2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007Asynchronous staggered set/reset techniques for low-noise applications2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007Class AB pseudo-differential CMOS squarer circuit2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007Data matrix code recognition using the Eye-RIS vision system2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007Highly linear V/I converter with programmable current mirrors2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007Improved background algorithms for pipeline ADC full calibration2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007Low frequency, current mode programmable KHN filters using large-valued active resistors2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007Low-voltage CMOS single ended and fully differential amplifier with programmable gain.2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007LVDS serial AER link performance2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007Non return mobile logic family2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007Single-wafer pressure capacitive sensor2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007Spike events processing for vision systems2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007Using FPGA for visuo-motor control with a silicon retina and a humanoid robot2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2007Voltage elevator using a MEMS resonator2007 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11
Ponencia2006A CMOS transconductor with 90 dB SFDR and low sensitivity to mismatch2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006A free but efficient class AB two-stage operational amplifier2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006A new low-voltage CMOS unity-gain buffer2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006AER tools for communications and debugging2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006An arbitrary kernel convolution AER- transceiver chip for real-time image filtering2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006Compact implementation of linear weighted CMOS transconductance adder based on the flipped voltage follower2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006Design of a 1.2-V cascade continuous-time ∑Δ modulator for broadband telecommunications2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006Double-sampled cascaded sigma-delta modulator topologies for low oversampling ratios2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006High-speed image processing with AER-based components2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006Linear compact CMOS OTA with multidecade tuning,-62dB IM3,-75dB SFDR, constant input range and two independent degrees of freedom for gain adjustment2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006Locust-inspired vision system on chip architecture for collision detection in automotive applications2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006New compact and power efficient dynamically biased cascode mirrors and telescopic op-amps2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006New improved CMOS class AB buffers based on differential flipped voltage followers2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006Offset compensation using unbalanced polarization2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006PCI-AER interface for neuro-inspired spiking systems2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006Poisson AER generator: Inter-spike-intervals analysis2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006Rail-to-rail tunable CMOS V-I converter2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006Reconfiguration of cascade ΣΔmodulators for multistandard GSM/bluetooth/UMTS/WLAN transceivers2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006Self-latching operation limits for MOBILE circuits2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2006Statistical analysis of a background correlation-based technique for full calibration of pipeline ADCs2006 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-11, PROCEEDINGS
Ponencia2005A 0.18 mu m CMOS low-noise elliptic low-pass continuous-time filter2005 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), VOLS 1-6, CONFERENCE PROCEEDINGS
Ponencia2005A direct synthesis method of cascaded continuous-time sigma-delta modulators2005 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), VOLS 1-6, CONFERENCE PROCEEDINGS
Ponencia2005An embedded 12-bit 80MS/s A/D/A interface for power-line communications in 0.13 mu m pure digital CMOS technology2005 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), VOLS 1-6, CONFERENCE PROCEEDINGS
Ponencia2005Analysis of clock jitter error in multibit continuous-time Sigma Delta modulators with NRZ feedback waveform2005 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), VOLS 1-6, CONFERENCE PROCEEDINGS
Ponencia2005Behavioral modeling simulation and high-level synthesis of pipeline A/D converters2005 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), VOLS 1-6, CONFERENCE PROCEEDINGS
Ponencia2005Class-AB rail-to-rail CMOS analog buffer2005 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), VOLS 1-6, CONFERENCE PROCEEDINGS
Ponencia2005Full calibration digital techniques for pipeline ADCs2005 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), VOLS 1-6, CONFERENCE PROCEEDINGS
Ponencia2005Robust frequency divider based on resonant tunneling devices2005 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), VOLS 1-6, CONFERENCE PROCEEDINGS
Ponencia2005Time-interleaved multirate sigma-delta modulators2005 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS), VOLS 1-6, CONFERENCE PROCEEDINGS