Búsqueda de Publicación - Prisma - Unidad de Bibliometría

Publicaciones en la fuente Proceedings - IEEE International Symposium on Circuits and Systems

Tipo Año Título Fuente
Ponencia2023A multi-core memristor chip for Stochastic Binary STDPProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2023High-Level Design of Sigma-Delta Modulators using Artificial Neural NetworksProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2023LIPSFUS: A neuromorphic dataset for audio-visual sensory fusion of lip readingProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2023Live Demonstration: A Customizable Medical IR Imaging System for Clinical DiagnosisProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2023Live Demonstration: Bio-inspired implementation of a sparse-learning spike-based hippocampus memory modelProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2023Load Reduction and Adaptive Pull-Up Strategies for Time Delay Reduction in High-Resolution AER SensorsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2022A hybrid memristor/CMOS SNN for implementing one-shot winner-takes-all trainingProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2022A low-input capacitance 12-bit SAR ADC for use in self-powered IoT nodesProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2022A novel physical unclonable function using RTNProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2022An MPSoC-based on-line edge infrastructure for embedded neuromorphic robotic controllersProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2022On the application of Quanta Imaging acquisition to spiking luminance sensorsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2022Towards hardware Implementation of WTA for CPG-based control of a Spiking Robotic ArmProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2021Cognitive radio circuits and systems - Application to digitizersProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2021Implementation of binary stochastic STDP learning using chalcogenide-based memristive devicesProceedings - IEEE International Symposium on Circuits and Systems
Ponencia20201-V 15-μW 130-nm CMOS super class AB OTAProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020An approach to the device-circuit co-design of HyperFeT circuitsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Analysis of parasitic effects on capacitor-loaded broadside-coupled split-ring resonator RF filtersProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Auto-calibrated ring oscillator TRNG based on jitter accumulationProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Calibration of capacitor mismatch and static comparator offset in SAR ADC with digital redundancyProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Cellular-neural-network focal-plane processor as pre-processor for convNet inferenceProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Confession session: Lessons learned the hard wayProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Experimental body-input three-stage DC offset calibration scheme for memristive crossbarProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Fast simulation of non-linear circuits using semi-analytical solutions based on the matrix exponentialProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Hamming-code based fault detection design methodology for block ciphersProceedings - IEEE International Symposium on Circuits and Systems
Editorial2020ISCAS 2020 virtualProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Live demonstration: a tracking system based on a real-time bio-inspired optical flow sensorProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Live demonstration: CNN edge computing for mobile robot navigationProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Live demonstration: Neuromorphic sensory integration for combining sound source localization and collision avoidanceProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Photon-detection timing-jitter model in verilog-AProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Semi-supervised artificial neural networks towards analog IC placement recommenderProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Super-gain-boosted miller op-amp based on nested regulated cascode techniques with FoMAOLDC =24,614kV/V.MHz.pF/µWattProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Versatile convolutional neural network mapping on FPGAsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Vertically stacked CMOS-compatible photodiodes for scanning electron microscopyProceedings - IEEE International Symposium on Circuits and Systems
Editorial2020Welcome message - General chairsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2020Wide-swing class AB regulated cascode current mirrorProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2019A sub-μVRMS chopper front-end for ECOG recordingProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2019Artifact-aware analogue/mixed-signal front-ends for neural recording applicationsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2019Bulk-input VCO-based sigma-delta ADCs with enhanced linearity in 28-nm FD-SOI CMOSProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2019TOF estimation based on compressed real-time histogram builder for SPAD image sensorsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2018A 2MS/s, 11.22 ENOB, 3.2 Vpp-d SAR ADC with improved DNL and offset calculationProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2018An Intrinsic Method for Fast Parameter Update on the SpiNNaker PlatformProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2018Behavioral Modeling of SAR ADCs in SimulinkProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2018Color Tone-Mapping Circuit for a Focal-Plane ImplementationProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2018Description of SAR ADCs with Digital Redundancy using a Unified Hardware-Based ApproachProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2018Design Considerations of MASH ΔΣ Modulators with GRO-based QuantizationProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2018Event-Driven Configurable Module with Refractory Mechanism for ConvNets on FPGAProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2018Hybrid Neural Network, An Efficient Low-Power Digital Hardware Implementation of Event-based Artificial Neural NetworkProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2018Live Demonstration: A Miniaturized Two-Axis Low Latency and Low-Power Sun Sensor for Attitude Determination of Sounding RocketsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2018Live Demonstration: Low-Power Low-Cost Cyber-Physical System for Bird MonitoringProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2018Man or Machine - Design Automation of Delta-Sigma ModulatorsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2017Exploring logic architectures suitable for TFETs devicesProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2017Hardware implementation of convolutional STDP for on-line visual feature learningProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2017Live demonstration: Hardware implementation of convolutional STDP for on-line visual feature learningProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2017Live demonstration: Multiplexing AER asynchronous channels over LVDS links with flow-control and clock-correction for scalable neuromorphic systemsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2017Live demonstration: Photon counting and direct ToF camera prototype based on CMOS SPADsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2017Photon counting and direct ToF camera prototype based on CMOS SPADsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2017Pipeline AER arbitration with event agingProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2017Towards bioinspired close-loop local motor control: A simulated approach supporting neuromorphic implementationsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2016High-level optimization of ΣΔ modulators using multi-objetive evolutionary algorithmsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2016In-pixel voltage-controlled ring-oscillator for phase interpolation in ToF image sensorsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2016Live demonstration: High-level optimization of ΣΔ modulators using multi-objetive evolutionary algorithmsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2016Live demonstration: Single-exposure HDR image acquisition based on tunable balance between local and global adaptationProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2015Constant gm rail-to-rail CMOS OpAmp with only one differential pair and switched level shiftersProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2015Live demonstration: Gaussian pyramid extraction with a CMOS vision sensorProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2015Live demonstration: Real-time high dynamic range video acquisition using in-pixel adaptive content-aware tone mapping compressionProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2015On the calibration of a SPAD-based 3D imager with in-pixel TDC using a time-gated techniqueProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2014Live demonstration: Using SIMULINK S-functions for the efficient modeling and simulation of analog integrated circuits and systemsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2014Spike-based VITE control with dynamic vision sensor applied to an arm robotProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2013A CMOS 8×8 SPAD array for Time-of-Flight measurement and light-spot statisticsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2007A physical interpretation of the distance term in pelgrom's mismatch model results in very efficient CADProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2007Design of a 130-nm CMOS reconfigurable cascade ΣΔ modulator for GSM/UMTS/BluetoothProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2004A 0.35μm CMOS 17-bit@40kS/s sensor A/D interface based on a programmable-gain cascade 2-1 ∑Δ modulatorProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2004A CNN-driven locally adaptive CMOS image sensorProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2004A multirate based Band-Pass Sigma-Delta modulatorProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2004A novel low-voltage low-power class-AB linear transconductorProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2004An alternative DFT methodology to test high-resolution ∑Δ; modulatorsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2004An optimization-based tool for the high-level synthesis of discrete-time and continuous-time ∑Δ modulators in the MATLAB/SIMULINK environmentProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2003A low-power low-voltage OTA-C sinusoidal oscillator with more than two decades of linear tuning rangeProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2003A new compact low-power high slew rate class AB CMOS bufferProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2003A SIMULINK-based approach for fast and precise simulation of switched-capacitor, switched-current and continuous-time ΣΔ ModulatorsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2003Analog weight buffering strategy for CNN chipsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2003Design considerations for an automotive sensor interface ΣΔ modulatorProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2003Extremely low supply voltage circuits based on quasi-floating gate supply voltage boostingProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2003New dual-quantization multibit Sigma-Delta modulators with digital noise-shapingProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2003New low-power low-voltage differential class-AB OTA for SC circuitsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2003On the development of a MODEM for data transmission and control of electrical household appliances using the low-voltage power-lineProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2003Sub-volt supply analog circuits based on quasi-floating gate transistorsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2002A 2.5-V ΣΔ modulator in 0.25-μm CMOS for ADSLProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2002A processing element architecture for high-density focal plane analog programmable array processorsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2002Bio-inspired analog parallel array processor chip with programmable spatio-temporal dynamicsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2002Comparison of two schemes for continuous-time sub-volt op-amp operationProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2002Low-power low-voltage class-AB linear OTA for HF filters with A large tuning rangeProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2002Mismatch-induced tradeoffs and scalability of mixed-signal vision chipsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2002The flipped voltage follower: A useful cell for low-voltage low-power circuit designProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2000A low-voltage root x Floating-Gate MOS integrator2000 IEEE International Symposium on Circuits and Systems (ISCAS)
Ponencia2000Floating-gate based tunable CMOS low-voltage linear transconductor and its application to HF GM-C filter designProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2000gm-C floating-gate MOS integratorProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2000High-order cascade multibit /spl Sigma//spl Delta/ modulators for xDSL applications2000 IEEE International Symposium on Circuits and Systems (ISCAS)
Ponencia2000High-order cascade multibit ΔΣ modulators for xDSL applicationsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2000Implementation of non-linear templates using a decomposition technique by a 0.5 μm CMOS CNN universal chipProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2000Inertial and Degradation Delay Model for CMOS logic gates2000 IEEE International Symposium on Circuits and Systems (ISCAS)
Ponencia2000Low-voltage √x Floating-Gate MOS integratorProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2000Methodology for MOS transistor mismatch parameter extraction and mismatch simulationProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2000Multirate-Multibit Sigma-Delta modulators2000 IEEE International Symposium on Circuits and Systems (ISCAS)
Ponencia2000New strong inversion 5-parameter transistor mismatch modelProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2000Reliable analysis of settling errors in SC integrators - application to the design of high-speed ΣΔ modulatorsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2000Reliable analysis of settling errors in SC integrators-application to the design of high-speed /spl Sigma//spl Delta/ modulators2000 IEEE International Symposium on Circuits and Systems (ISCAS)
Artículo2000Review of CMOS implementations of the CNN universal machine-type visual microprocessorsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2000Stochastic pulse coded arithmetic2000 IEEE International Symposium on Circuits and Systems (ISCAS)
Ponencia2000Two new VHF tunable CMOS low-voltage linear transconductors and their application to HF GM-C filter designProceedings - IEEE International Symposium on Circuits and Systems
Ponencia2000Very low-voltage class AB CMOS precision voltage and current rectifiersProceedings - IEEE International Symposium on Circuits and Systems
Artículo1999Automatic synthesis of analog and mixed-signal fuzzy controllers with emphasis in power consumptionProceedings - IEEE International Symposium on Circuits and Systems
Artículo1999Comparison of architectures for a programmable fuzzy logic chipProceedings - IEEE International Symposium on Circuits and Systems
Artículo1999Decoder-driven switching matrices in multicontext FPGA's: area reduction and their effect on routabilityProceedings - IEEE International Symposium on Circuits and Systems
Artículo1999General subthreshold MOS translinear theoremProceedings - IEEE International Symposium on Circuits and Systems
Artículo1999Non-ideal quantization noise shaping in switched-current bandpass ΣΔ modulatorsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1998Integrated circuit blocks for a DCSK chaos radioProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1998Mixed signal CMOS high precision circuits for on chip learningProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1998Pulse stream based CNN hardware implementationProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1998RAISE: a detailed routing algorithm for SRAM based field-programmable gate arrays using multiplexed switchesProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1998Voltage clamping current mirrors with 13-decades gain adjustment range suitable for low power MOS/bipolar current mode signal processing circuitsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1997A fuzzy logic controller using stochastic logicISCAS '97 - PROCEEDINGS OF 1997 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS I - IV
Ponencia1997AFAN, a tool for the automatic synthesis of neural and fuzzy controllers with architecture optimizationProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1997ART1 microchip and its use in multi-ART1 systemsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1997Comparison of matroid intersection algorithms for large circuit analysisISCAS '97 - PROCEEDINGS OF 1997 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS I - IV
Ponencia1997Design of a programmable mixed-signal CMOS image-processing chip in 0.8μm CMOSProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1997Discrete-time integrated circuits for chaotic communicationISCAS '97 - PROCEEDINGS OF 1997 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS I - IV
Ponencia1997Experimental results on the current-mode WTA-MAX circuit with multi-chip capabilityISCAS '97 - PROCEEDINGS OF 1997 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS I - IV
Ponencia1997Mismatch distance term compensation in centroid configurations with nonzero-area devicesISCAS '97 - PROCEEDINGS OF 1997 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS I - IV
Ponencia1997Some design trade-offs for large CNN chips using small-size transistorsISCAS '97 - PROCEEDINGS OF 1997 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS I - IV
Ponencia1996Family of matroid intersection algorithms for the computation of approximated symbolic network functionsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1996Switched-current incremental A/D converterProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1995A circuit for learning in fuzzy logic-based controllers1995 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-3
Ponencia1995A tool for fast mismatch analysis of analog circuits1995 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-3
Ponencia1995Experimental results of an analog current-mode ART1 chip1995 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-3
Ponencia1995Fault detection and classification of analog circuits by means of fuzzy logic-based techniques1995 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-3
Ponencia1995Learning in neuro/fuzzy analog chips1995 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-3
Ponencia1995On the use of loop equations in power system analysisProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1995Realization of a CNN universal chip in CMOS technology1995 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-3
Ponencia1995Secure communication through switched-current chaotic circuits1995 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-3
Ponencia1995The use of loop equations in power system analysis1995 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOLS 1-3
Ponencia1994A digital fuzzy-logic controller with a simple architecture1994 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS, VOL 2
Ponencia1994Analog design optimization by means of a Tabu search approachProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1994CMOS current-mode chaotic neuronsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1994Fuzzy-logic based tool for topology selection in analog synthesysProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1994Low-cost strategy for testing analog filtersProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1994Modular current-mode high-precision winner-take-all circuitProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1994Study of the sensitivity of switched-current wave analog filters to mismatching and clock-feedthrough errorsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1993Analog design optimization. A case studyProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1993Model for VLSI implementation of CNN image processing chips using current-mode techniquesProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1993Tool for automated design of sigma-delta modulators using statistical optimizationProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1993Towards a fully parallel stochastic Hopfield neural networkProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1992A piecewise-linear function approximation using current mode circuitsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1992A prototype tool for optimum analog sizing using simulated annealingProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1992Architectures and building blocks for CMOS VLSI analog "neural" programmable optimizersProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1992CMOS analog neural network systems based on oscillatory neuronsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1992Modular analog continuous-time VLSI neural networks with on chip hebbian learning and analog storageProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1992On simplification techniques for symbolic analysis of analog integrated circuitsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1992Switched-current techniques for image processing Cellular Neural Networks in MOS VLSIProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1991A practical implementation of fault-tolerant switched-capacitor circuitsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1991An advanced symbolic analyzer for the automatic generation of analog circuit design equationsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1991Design of RC-active oscillators using composite amplifiersProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1991Flexible capacitor and switch generators for automatic synthesis of data convertersProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1991Frequency tuning loop for VCOsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1991Hysteresis based neural oscillators for VLSI implementationsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1991SMAS: A program for the concurrent state reduction and state assignment of finite state machinesProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1991VLSI implementation of a transconductance mode continuous BAM with on chip learning and dynamic analog memoryProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1990A systolic maze-routerProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1990CMOS circuit implementations for neuron modelsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1990Design of an analog/digital truly random number generatorProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1990Very high frequency CMOS OTA-C quadrature oscillatorsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1989Novel CMOS analog neural oscillator cellProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1989OTA-based non-linear function approximationsProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1988Generation and design of sinusoidal oscillators using OTASProceedings - IEEE International Symposium on Circuits and Systems
Ponencia1987NOVEL ELECTRONIC CHAOS GENERATORS USING NONLINEAR SWITCHED-CAPACITOR CIRCUITS.Proceedings - IEEE International Symposium on Circuits and Systems
Ponencia1986RATIONAL SWITCHED-CAPACITOR NETWORKS.Proceedings - IEEE International Symposium on Circuits and Systems
Ponencia1985USE OF DIGITALLY-CONTROLLED ELEMENTS FOR MACROMODELLING OF OPERATIONAL AMPLIFIERS.Proceedings - IEEE International Symposium on Circuits and Systems
Ponencia1984LOW-ORDER POLYNOMIAL CURVE FITTING USING SWITCHED-CAPACITOR CIRCUITS.Proceedings - IEEE International Symposium on Circuits and Systems
Ponencia1984MULTIDIMENSIONAL PIECEWISE-LINEAR FUNCTIONS WITH PIECEWISE-LINEAR PARTITIONS: GLOBAL CANONICAL REPRESENTATION.Proceedings - IEEE International Symposium on Circuits and Systems